EDABOSS电子论坛

 找回密码
 立即注册
搜索
热搜: 活动 交友 discuz
查看: 641|回复: 9

[咨询求助] 请问怎么能有效的消除glitch?

[复制链接]

7

主题

7

回帖

43

E币

技术员

Rank: 2

积分
21
发表于 2019-10-30 13:47:50 | 显示全部楼层 |阅读模式

好像多加register可以,不过面积是不是就上来了?
积分规则
回复

使用道具 举报

249

主题

12

回帖

81

E币

助理工程师

Rank: 3Rank: 3

积分
510
发表于 2019-10-30 16:12:12 | 显示全部楼层
用时钟去采样信号是比较有效的方法,这样确实增加一些面积(不是很多),但是现在的ic设计对面积的要求好像越来越不严格,只要不是增加很多,投片的价格相差不大
回复 支持 反对

使用道具 举报

141

主题

15

回帖

368

E币

助理工程师

Rank: 3Rank: 3

积分
297
发表于 2019-10-30 16:12:51 | 显示全部楼层
另一方面要从PCB上考虑了,例如加入匹配电阻等。另外在XILINX的VirteII中存在着一个DCI的功能,它也是一种阻抗匹配技术,不过存在于FPGA的内部,这样可以有效减小PCB的面积和布线难度。需要做的就是在FPGA的每个bank的两个专用管脚处连上参考电阻。
回复 支持 反对

使用道具 举报

26

主题

8

回帖

100

E币

技术员

Rank: 2

积分
60
发表于 2019-10-30 16:13:15 | 显示全部楼层
按照传统的说法,PCB是不会传输glitch的。因为PCB板上一般都有些低通特性,宽度只有几个ns的脉冲会被吸收掉。阻抗匹配的目的是使信号质量提高,减小过冲或振铃之类。
可是现在情况好像有了些不同,由于pcb的速度越来越高,几百M的传输线上信号也就几个ns,所以glitch应该也会被传输,但是用阻抗匹配可能也消除不了。
以上说法不知道对不对,请方家指正。
回复 支持 反对

使用道具 举报

7

主题

7

回帖

43

E币

技术员

Rank: 2

积分
21
 楼主| 发表于 2019-10-30 16:13:35 | 显示全部楼层
要是用阻抗匹配就得等到FPGA实现了,我得glitch是综合以后出来了,想把它消灭在RTL代码那里,这样gate-level级得simulation也好看一点!哈哈
回复 支持 反对

使用道具 举报

26

主题

8

回帖

100

E币

技术员

Rank: 2

积分
60
发表于 2019-10-30 16:13:49 | 显示全部楼层
我认为要从两方面来看毛刺产生的原因,(1)如果毛刺是由于数字电路中组合逻辑部分的竞争冒险产生在通过信号线时可能不会被消除;(2)但如果毛刺是由于信号线间的串扰、信号线上的反射或瞬态电流的变化产生的,我想通过阻抗匹配还是可以消除的。
回复 支持 反对

使用道具 举报

141

主题

15

回帖

368

E币

助理工程师

Rank: 3Rank: 3

积分
297
发表于 2019-10-30 16:14:06 | 显示全部楼层
毛刺信号及其消除
在组合逻辑电路中,信号要经过一系列的门电路和信号变换。由于延迟的作用使得当输入信号发生变化时,其输出信号不能同步地跟随输入信号变化,而是经过一段过渡时间后才能达到原先所期望的状态。这时会产生小的寄生毛刺信号,使电路产生瞬间的错误输出,造成逻辑功能的瞬时紊乱。在FPGA内部没有分布电感和电容,无法预见的毛刺信号可通过设计电路传播,从而使电路出现错误的逻辑输出。
任何组合电路、反馈电路和计数器都可能是潜在的毛刺信号发生器。毛刺并不是对所有输入都有危害,如触发器的D输入端,只要毛刺不出现在时钟的上升沿并满足数据的建立保持时间,就不会对系统造成危害。而当毛刺信号成为系统的启动信号、控制信号、握手信号,触发器的清零信号(CLEAR)、预置信号(PRESET)、时钟输入信号(CLK)或锁存器的输入信号就会产生逻辑错误。任何一点毛刺都可能使系统出错,因此消除毛刺信号是FPGA设计中的一个重要问题。毛刺问题在电路连线上是找不出原因的,只能从逻辑设计上采取措施加以解决。消除毛刺的一般方法有以下几种:
(1)利用冗余项消除毛刺
函数式和真值表所描述的是静态逻辑,而竞争则是从一种稳态到另一种稳态的过程。因此竞争是动态过程,它发生在输入变量变化时。此时,修改卡诺图,增加多余项,在卡诺图的两圆相切处增加一个圆,可以消除逻辑冒险。但该法对于计数器型产生的毛刺是无法消除的。
(2)取样法
由于冒险出现在变量发生变化的时刻,如果待信号稳定之后加入取样脉冲,那么就只有在取样脉冲作用期间输出的信号才能有效。这样可以避免产生的毛刺影响输出波形。
(3)吸收法
增加输出滤波,在输出端接上小电容C可以滤除毛刺,如图3所示。但输出波形的前后沿将变坏,在对波形要求较严格时,应再加整形电路,该方法不宜在中间级使用。


(4)延迟办法
因为毛刺最终是由于延迟造成的,所以可以找出产生延迟的支路。对于相对延迟小的支路,加上毛刺宽度的延迟可以消除毛刺。但有时随着负载增加,毛刺会继续出现,因而这种方法也是有局限性的。而且采用延迟线的方法产生延迟更会由于环境温度的变化而使系统变不可靠。
(5)锁存办法
当计数器的输出进行相"与"或相"或"时会产生毛刺。随着计数器位数的增加,毛刺的数量和毛刺的种类也会越来越复杂。毛刺在计数器电路输出中的仿真结果如图4所示,从图中可发现有毛刺出现。此时,可通过在输出端加D触发器加以消除。接D触发器后仿真结果如图5所示。



从图5可以看到,数据中的毛刺被明显消除。当FPGA输出有系统内其它部分的边沿或电平敏感信号时,应在输出端寄存那些对险象敏感的组合输出。对于异步输入,可通过增加输入寄存器确保满足状态机所要求的建立和保持时间。对于一般情况下产生的毛刺,可以尝试用D触发器来消除。但用D触发器消除时,有时会影响到时序,需要考虑很多问题。所以要仔细地分析毛刺产生的来源和毛刺的性质,采用修改电路或其它办法来彻底消除。
回复 支持 反对

使用道具 举报

141

主题

15

回帖

368

E币

助理工程师

Rank: 3Rank: 3

积分
297
发表于 2019-10-30 16:14:30 | 显示全部楼层
说实话我对PCB上的信号传输也不熟,工作中也很少画PCB。
以前在做一个FPGA外接FIFO的项目时出现过信号传输过程中产生的毛刺,就用了几个电阻这搞搞,那搞高,把那个毛刺"基本"蒙掉了,呵呵。
回复 支持 反对

使用道具 举报

26

主题

8

回帖

100

E币

技术员

Rank: 2

积分
60
发表于 2019-10-30 16:14:41 | 显示全部楼层

我对pcb也不是很熟,只是看到altera的一份培训材料上有过这么个说法,请这方面的高手指教!
回复 支持 反对

使用道具 举报

141

主题

15

回帖

368

E币

助理工程师

Rank: 3Rank: 3

积分
297
发表于 2019-10-30 16:16:18 | 显示全部楼层
最传统的方法是用RS触发器,看一些单片机的书都应该有介绍。
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

Archiver|手机版|小黑屋|EDABOSS电子论坛

GMT+8, 2024-4-20 13:56 , Processed in 0.045305 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表